From 1fd47f074e10c79174ae751ae8180bae73418424 Mon Sep 17 00:00:00 2001 From: LAX1DUDE Date: Sat, 2 Apr 2022 10:56:01 -0700 Subject: [PATCH] Fixed memory leak --- EaglerMOTD.jar | Bin 53868 -> 53903 bytes README.md | 2 ++ .../eaglermotd/MOTDConnection.java | 14 ++++++++++++-- 3 files changed, 14 insertions(+), 2 deletions(-) diff --git a/EaglerMOTD.jar b/EaglerMOTD.jar index 6afe606f0c96ccc60f465f23ece6c3a74d842ca6..cb424eecea7389506ca4db67c33d95addfefae30 100644 GIT binary patch delta 24291 zcmZ6yV|OJCuq+(gHYc`i+sVYX?d+JdW81cE+xEn^CU~Fo-VgV#^Amb?SM}Z@Y# zxDs##WjSyN3=kL?7!WZ;6U#JMCQ z6ZRyrrygS<(1&@cGWweUwQ&tG7&@z*!BvK%xFvgv*MZwL^OJQxs= z|2qT!b>C1>3g8Rtr@r$1!{eFCmC=0#fdfGRK}v@Tv0Se{h-Ze5f>6$FW{{XLA)lU6 zZ?(`$#^`Pc-(Ilt+d4&pQhal>aKx~s)#F*~S?ix|OU;Yc)<+c}rSjc>&et(9gE?p( z@NBy4|C;MN+hHm63S{#CzVeK+O1xN>W&y_GL}fY|0Ukq*eCNwqz-EleS8?FkDXyGt z*-@)AOp&k|v{`h?sg|fWmhklWIxO^ki#M2U!0Zb$Tb+Desa2bn{j82G@chB*!wS3x zBXL+Lm8wwVpfiEoY6F9GVEEu1*HOyt7ApDnv}&^t%C5iSt>SRyFWI!pwCfIpi#sg5 z$*`i?0ZIzsD4$DMNJn-t^bc}H3wPPlWUb~G2%l5MZ7;JSEtphP0nx+qv>gf!ATTp< z?5+Eur@7hE?5ldN68v}-+Vy@DhZL8!%y{UOWVhiS7 z3$Xl-pUmTZtDbZQQUzOt2(p4<1WGCGcUj8QYODGAGX&-}IgiA_cp&q~)c$4UqDZeH|~S zXbA<6b{8`mCuU1`4YvU^$lds?!OOUr1;qe2GVEw4`zbMG)QTN(-{0({M)9(?iPO0DX=hfL*?bM?Pc`l2F?bLSow>Q^6F%saLUV!AY87 z0WG*RdT=4|td?eZn+QHKK4UbMVH!)*LPA#;JYnC394&NH;$fF33m?d-c8bSfL3?gq zYe|uoy2uJ-G@G2d!^ugFQPl$)lcP)(#8{N~WE1`q^U*S7_vGgo#)M{i#4=I^n$5Z=&>7^0IILHC`bJXU9`0+XYEQE@76BeLZVjI5&Zif98B;s zdtGIG3u^>|1SIoU**HF*MNGGr_J8hBpPPcSJm`-7F^+6eKOe z!0+1?J(mRPJz&f;P~%$1@PHZ4F$7?;oY>a|y2R%P2&`Rk9#&5+$zvuwfE?Exk445a z8#M$@NW_$yimEznSbkw->nEehE!KpKC+6#LP-B8&gDaXkY+ih`EhuDx)g=jt@Toe%C3mfn%W&Q94;N)e^ju9mG6pDf@5CW22Kmee=aYP*X8(&MzGO>qaj|}~l zU^Un`d&hP71WSHa;_ucgnIkW@xROiBz(jFw0n9bWO9(FCN@TVeH3?$KkbDC1*Dbq^ z{Cf(*jL~}Ng)Kl;`YZs`J*}>gM*ZYnPAP|2uzy4WCk+4S|Kl5-g5m{{W2Rj@4Z}HLREL*@S zl6#-A+0}RJa{}Bhsh@CK5x?mLia~ z(M604SD#-U5d799!MRfVD7Le08SRiy`c5Gl9`d#r017v;pu9MNirRraYc>lU9FRp) zK@kz$(V zqXmzKC+E-2P_})mN`E9re5uk3zZtwd;NDph&9K*0_Xa#)bWmMyHes{VQm<7N7L9FMVXh!^9qOO|5btr^3dmX>7> zW_L(tP{N`UyrcwH`8cu-(ggYaN7BNAdEVls-||(gb|JKOq7~LhcPP!q57wt&ZM*W( zyqk12`ndu>y?yxb;i@sM`y)4EO(q+jT)MkoBnL6d*TGaQ2~CQU^s&e}eR&+iF}Q>X zkeY=J#ug$X{??BBxaGFn&+@j&MM-EuR-q?|Myg-(i0w_M7_`6RKyS_4VOq?J zQ@H+~lr4n=IQPp5W%nG)4uenYXRm9s|BJyIm)5rQ&eNUaxcFYR7(;9g z*?z*1#b((M;TW;X_Ab`t0P%$ue3KmSfxUERm(j@;T%a~F=VpMs2R*O>*$v|wG0R7h zUI3zxvtu@F0eM*8{tuNw=^SZls_RWeMhuxn!)(fiaD&zVUG_dOFoHN}?rnyS6CirC z&j0C7&GGjRTPI0b8c#sxXYvdE!Jc_OddEhA5P>@Mb=8ZF`qa`R6{T*PiIbC*=9#{v z4TH=cG{e3{t=zHkB{F|Gbhz}WaLK zPY_YrS9O)4J;H0>4~9YDeI|sz#st@#0ln}h!_+tHX!8lBGxxm|H2X8s7u3N1I$eA` zx*V&1G5^-aob;!J<|Q)%0R3Kf|FHE54cwEV7!$;C`z{)8@#9;0zVgRj^|r2I{r!s{i5q& zDu2Bj^B?Nkkdr~;4{tQj?qfk|xMj~QyiVAs253_+Wa0d^mozQ6juF~-6rE3y%-1XT zuY9!p$C8!*dP}pyMS=DXa1e+nowR>&p9gy+RZgQ2pk0sH~m@F)sNYlEAf# zw$ofKz*@y|rXrSZVU~SDwp`C~$iKoOmi|ap7~tDXu}SopwRV%*koE9qYDQfAfk9S< zLmr@2M)T`Rv;qC`-)5mO1Q85+#YI{7^qY>Qn9>TyX5R$3vooJPtnQRNXOB(j19?xS zit1wS#cRPsiUEgCPDKTayg9hJmX_%XcWH3?0{snfledXZ{1>_iRP5DGAEJpV>jte> zN@iss$-hA^9br|Au_9ygCT&%XO1vO~k8;56mrbe!CY2~zOHJj1HK=}k5oLK32M5bz ztOLB_PGyx0TusqXyxn?MS=u1Kn)X`JJT=m78364V_)z(X(QKII;g}UJthH49ytHXX zOK`tP#v!I<7(ew9%n6S$;2}XzQYNpIEs$1>_NOp`2es)sxYY98rZqEUsn~7p9}nOy z_{Z?LM0o-jlnLFCm&p*Dv9IBpvL~@Bgx2CvOsSuG-12WL#cheY7_)+j{fpyMfW)B-})Z}1~;aq6&?4Elr4Hxgj?tkT+(g~mCIkfB)PLQj8%5Y zA5zq5W2ok5l@p)CW_Fx-Tj-LEH5v?^a20e%i8EF}2lm)5`)0Wm0z2f(N{yrnc@zzB zxae;qH_Wt)qWMo3@X+v_5?A%E&Eg86c7Sq%!;E`S@2*KtcORT=}bHj%5X1F(;d$t6uMZUH9iepZQLbrl`2fF{PTgm7XuFTA$wng%vwrpD5+X z?X^TL8XR)HKTu(NV{Xsw7-lZdLQN_zQBne+8!^-+M!u?AzQuxc&5T7CS>y}pq_5ys zFDq?s&&fV`NnZ-MpdCrriVlFh&LQ^7f+R`e{04!d##|MNx$#g) zaS8uGN*<*7WPi$U*L%-unJNTJI%p}(e9fpf+D}~tbZh<`F%I^e*}}(ZLI}m#s{>0! z9u_h@jRlz&626uKFCQxZ1Co^kb55^x-}n=azz74E5E{0-2Qy%6^(_}y`b6!Mw!69zuTK!oh zf9Dx%DfGFW?H_KP%d32oyrvkP^j@iikrf%6B(2}0vp28w^$L-(bDr^C7w@OEiOoDR zhI$(6(t9uTyz;vEW~G3)sT?=^nx#|u#g`oZC+3~?eOHe~EnEE&JwMw-hdOsp<=Q!o zW1NIyU;mrRUpsruVglP=T6uIbac|o)KF!c;YmjLtOAy#Owug(V?Lna3Lr|I|l>xq#tf zltG0&{X$M1U{-vv?gt*6vtAvkV5J~cmh(@W;_-T41rPz!jxNx0Tsr-LH`O|q7**%oX73;gO3(#@fNIOVw$)_cE-r(8^4CI>b-uhOS|BM z^)dpTSEv>Em(O%cO?c)T-Cmz-np-FU{D-y+p=h`11I>WavEJ-@T&_!0Y#cm!Dln|& z@vuVS2W;tC%@Ra>Y|Ln0g#b>>eE0tG!zKCmuJZ=~>0gIqPef7@LPHY-T?YbtsPo0_ z=y-RY<0ocM1OdPCT+X?d21thU_nx~0#se#478(M`Kpsb0lQ(+!ckLd$vS6y9NhUm# z?{-*A%2@t5Aq`D5eQ{HKdS{@{L^t!DJpwFYSQt(XqQE*1S3EERZMER}TD=D*t3vnc z2u}d|C|OL{OizkbLPl)amTaHsGGd?gkp-k#II2^nC>~`weIb)^uPIVZ+~b05VXZ0z z=PZiX59;^?z6475CT&w+ng84k5MYY+S`u$SR3IMl69VcaH+LlE&KUtZl3i9j0*Z8J)}1gmIx=&I-pP!mX%#^R zB`Z%@9zO|;1;}TrA5{91c}qGTVoM_!`=rgW5#)QCi365u=yUlNxzGiS`6x_7kE}X# zK#UWtXs7H#Kg^bUkInuzl|Q$k(w>L!+%1np%Z= z#7-l;ZcEejP0z<_ai7rF{CrkgvI8z`it{>j&Q5DRK1yuyzb4(udrmsj0(0}^*V`y>IbeKLTxNWtVp#Ln_$lWE2c=EJdks&EFLj*vWI!k(F)l)eF_#P(L` z5B$&$@~2*o;N2^pfISV9x?R9=>>by;ZvF1q#+1_i5;bm~#Ys_5kClq_>l1-UnZ}Au5kXT(zfFd@?#r~mVKG$J70cj zmF}76r~aP@BWR(+(|RuA)jNLwa6-uXD9d->b(QkmLfB9Le$0vxbx^<-zW7LeGFSi+ zpKSim!Y7!=*}NCxPhec)+I_;%6w?DBe$08g;2wEE4#}-A)NpVd{(9~x?I4ENEeboxC@l8YQb^O?>I3PUos@}`0|Yd*ALdQ{6p1p*(SE{9kMJ}6B*Imz87a1T|6qF4!=+E1t|>?O zT8nbgx}-@~-@5@<3x(iNk3GeHVs~XSYwizCi#t(W*nKm9k~lUi7yR)AgqMN99=hZP z));>67b6gGx|&tlsTPN3mD)c$Rymyue9si&`uZDDC7QLAj(}7FJiBFnZ7!X{&npnT z9uO1)ts>+MNH>BM1c>Eq>1(`NLDIjbc<|aea^6&X4$*D+J*q->y7i6C?fCXvGDuny zK0;RQxaq1!mZ}3${q&i3B5@eU2r#yaeU|n8Agncp3B@q4mFH&hcoBB%OHhAW3_)KS z)A{O$R&&HdDFLo0MqL#q04~+rKxQRA+&HJSj4!+f|3h*CNtIn7-u^JoNc(It!Ml6B zKYBg9v#tX)R)NmX^Wfy|qb}c>e1(bZTc65U;l_K9_OBmU0O$kJ1rY|TFQn+Is>Gck zYg*8}@h%<)IWCI-AMra_`X3x%nbHh?$N-kgH{B1S5&(PVw66%l2v)-d@sS3&_GaJB zw_(mw8A}>=MCNQ?MNg1-^pnFPDj=Vt;eSyR*DqNzaZ6>5iG5omE;8{`?OwR?#BXY!DTd6$ru#>^7+}2_kt=YDmbrx9lp{z!~lA|_GBL&6tm{_367NggO(LvNS z{=65SjTJip41 zx+<2TCMz3&V=_W!I3~adHoyRMG-tVAG3HZs0OFBcPzB~F+>)Ues=U6#p_gt|+`f|{ z!204I=Mj|tE_p%49YLOjYmp=v3|ii4c{N*0ZBZ-Pk!g(HakAMr@+1aJ1{KwKc(Vde zt4ykS2Nt|5A);0xdjZ8z1=P+JQzdxF?It6_RVNl!Fiu60OV*D8KK;CA%<2%v zL?b$J*JVA%E`o4j%T~{}GQdePE}^sa5so=+!HH4HwHuWtXaT0{#+0M^)Zu%+#o0f)gsM z2gI}HNBoPY&ThHDohzJjM()E?(a3`<b? zx}w1^<{q)4XY3d-OQMmdj{VfpbVO4>?rKHN6pAuiu;i_>nNuR-Uz@VZ_ZpY{$%cPvQ!eLXy(r&3;eM<@5 zQ+EuF`%;g4iPQ6ylPj&$ceb^Q&pNlKQqF%xgc4q2FgP0xtV4r-6A?cH0I!1n-PP}R zQ%q%roa=i=^Y~7tHMkl%RwPQamlW(!vXI^t3Fl3Lc%V@~6l0CSHLu;BNomTD?+X8} zsuN|<8ni|xuOIu(9@y(fs2}sHyPnV25#`~+CJ=tQ`R|BzS^z>XhxiI}UjUFqgcuaAUgkCNm6E_5fOQr;YCjDBZ&9F0JHTFyg3@YGv)D ziGCfbdYT@I_kBYbPar#GLWPbJ7d|?X*FD*0b~wdMbFmW|kU>?ibatB5d4QIEV|lT(*c^*4p)dA%;9&5(XrtInS3!qOlt z(aYFQP~Y2LD>9{9)sqt}4mR%2seJc=IK@BX@100)+bdi~f3_iYlrdR}C!N9i zm^c*iVOBia)qfknCaFOT5gv60686Wk0}nKKm~8KVIUG9S+hyAqa?| z@c;4>xlE-28oFBe8W`V-jD*tRe8-#ca4qo=WU2*Vg&d&xVa=)0t*cX{JfT6xP*mu; z{?9vH#LLHQb?sQn3oUwr|ETn)xPC(4a%4!FS0WzbGyGpR{_*X#&Fv-$eZL=bfvhPr zqo7IiO)70YEO<4js#{aYwLZY*>OI=Nq+Vh#$yMqBQYqZG-0i_pgH{pW!^~3EWcPG- zlpziCjkB`6J4zrnu`jo#dM$ZMaD(172}3|u;-eim&Lyg@*z%n>Xn7Xvyu{nzmtU?9 zT^qAK{cfi+cm{JHi`CrP6n{gedxGWd=Clw@<)L#6ebS#NUoagmuXwIu&rNnCE@+>&K}Z4%P=%EgMj!JKJZiS$8OI z45LUw@P_C0(&}TGZqeGEtcV3&4v|}SWphgc5;&PD=Rc1?DGf&3DXslWf_+Zi&O#?r z{Zsrc-Y1iKFJay8&wOlZPpIxN4A`7oe)pqz`c+80y8Nu&q*t39Fj8brv>ZxjuZjtd+HukdO4}H}SE;p9=J{vmh zFG!OqhY`ARNVz6!0SRAuszb=|@ORR`0IQ3Qf|XLK*j>p@(&6?Kw}sgGwNMK|-F8}5 z^5IwELlzzMzQVt|rrCQ^*fT_#{{PODe!V*1mw_C!=IIYZKavmIl9~|br7c7U88P*f zWnl;PI5~AbN#9eB+(JpntEAkDe;2mXh<9>_IM;UxahD9A*{tB?XtvT9~s|Qnz6&YJ?>H6kRSv z+~$FczW*VMb*&;7$p3-|@zDQ^EC8@d0DC2L5tINdTCGXYMNv#ln!$~H{==)WA;h2DvSIkvJt|Xxvm4Vo20qFBUvfQB=aJgZ(f)7Rx=?0(^+11ys1Sa zd|{Oz&ytN*MpOcgM)em{T3E9Cp%SVcCQ|5$g^5B*)zb8vbJoLE?((sOSq^^bJb5i) z#km7L*<-PJZid<&knXav-r0cu zww5qC*UR2XxmTchW{nu2k#qQflqqpETnBYdE?670s!{37+@*7ian0bGTQ8}T$u%PF zd(Z;MQH&5cZ$5V5*!qIE2H!Hz44!o5!f+wx+e4ItXi$r+Z?sj*o%x%q7}X)OvRJ&p zB_a4sPWB-cU7-p|hwg5vDXUuHZ5$lp?|`uK^=Ejm5;t+)XXHGf!P6r$*Pkd@w$DKH zTr9oXi2)AV3H44BEr&7CSg+EwuY{YpXXzdpMnTj0o$xR#$&lsc6Mb~gv@lwCpn|p( zU1NH&Dtg+I-aT+S#WWZs;i@3l&F9x(G6+n9V|*k+0@zS`=~1G5cj^gO2K#FL4QcT` zN?c@RG%Wup`k)WJtigwpccqa-aO_dHuEQtT{{V*PvwjEx90bG(>VE+yUr!34t%jk6 z@qNQQKQy1;9DT?OGj7N1023?%sUnhE9|=df$+mn2TAD@A0 za;kiY93*LBaD2w`(F3k5<%$F&+?3mmV~vwMNZ2Y_j!k$4zrbOo#e8tKSo_ekXD=?# zv+Cs~TxyK|!E^ySYpjkjkeo{E0r3$L0&Z=z?A$*-#)l{wD%%qvWyArXoXlq4{{9^# zT;gWrV_`FH;qaX*f9%0pS?%fPRtU-$*f0- z2_r_Uo4CKj-ZaJZ+&d!m0!H9ETUacd#;HV)lmiblSe0CwRYPNnNTWwcAhS&Wi66Pj zI~|ZHu~UqOiT%RjbR7i5Ek~oo57pN))Y1~3C)5|dP9B8HU@?$UeV7T%uHnvGBaEma z6tw<`Px;(*%NH17+

?#p+q7C6&s8j^&wNnaqclTST*Y^^`UwoRwTCbJvVwQ2e6$ zoBBYhpg{xctUyuNzVJs4Op#T+W}}OehqXA88;IpXUy4IFp<@O};@T4~g15wJ#MEDV z;%_ce6NVN$$J4{Or|leVS98 zjZ9S(7pS!GLdzt0{$26x1m{J&eKyKtz^?D-AtEjWMg8T%T2ZcY_$TLFLwVGy2fi-h z6*E^v;q}i$l{YOwuU%Y*V`iLeFp{|)!JZ`ozlXEbPTaXSB8RvqvS4! zv=`lS{m@^8YhR|9j4TK>oL_d`CFxl<|L3PFMivXLsMI7` zRLOQ@r=vBNwT)W7NZjWVT({~R^|jsPx3za02IqjG@vUvFz& z*8@roUs}NeC!nJ%@OGVV@qrAo?M#4svB0|=3_*ee&nsXL-5J`mLP#jhyXvC6vKt)O zD;RL&k4k)}m`5mMMFZ#hQoe#PxwZ^X?Vt{Y5E~@9i->MFXDa8X~MHpNbQt7~)aY|jG-TuKUKW$a2M<_Eh8_=JDQs_z`s zWsA<3x(LEYocm((ozX40b1K7dE;^#O7Qpz{l{w1*h$b8Tt97lm{QG}V7Cw= zGcHWwNYa>47dh=7(H~{vmStaV!xQDt&+FifFG9nEvj?P{$Ap1HcqJ!|N)N@qnd;^vw}|I6 z`%Bi{<~{;Z-GNWF6+yqYK~+7nmS+!%hFHP-$iqP*sJA$V`*mnLhK2`t56uM>QP}{B zYB##h2>RTyptAL%G_eN|4K=vv+)-I>7f3lif3Ozap@fPM`%n~4=A-t`g1&Qp<)J$M z5lU93iN zd+=`{v$5_rz+~6Ke(KadsiLPRSTgPEP`8WkU7J7!KL7tDXtV!i3deuDMH=D%-7iv_ zNlyss0MAr;MwC<#@-90Wl5h~Pa0wDS5tu4!1*0sjj8RYG+?3A0XC|T=tmLYb9hnPs37GkISJX(BIu_k7;hhi#;kNo(I z_<_@fqMkOjB4!m{GCP%0jqn1up|NR%FRN*-+*K+SCr$le?blcTQT*S3#15EFv$nK#_0qt;6V zAIQLRF+R774?{XDFCxX_?I;3+_r56~7q#?c-xkGoTUpS?>g;eOr$6c{O2FzYiinle zJv)yb{T;cFKnQG#52=rxq<=$&F$JT9WKm&$VxO}<(33BoU@iqym$jDtojjjap0P0IJ*#1KUuf}&0dx5M*bbRT(Rg>mgti`!p)2J3xRCTC$@~f)wo?<~=ey>M{t%*0a6jO-_d-IrwT>9{H zc|q|7s;iRJln==;Rm_yWxgI74fcxb>_DEHuLl`&IvG8%<@jDnkq+NKvU?(`N>KGT(6EcAzELskv9McR_4C7t*ycK2D27 zJM5BSJ1{nMAw8mz*E=Z;z+^j}RjJ45FkmmTEh||zNDJR)+C*YmW51>pHMVL^gh8(c zg1%Y(^w^mbHE*m!sne1gw3VreK(TT}1pjK%dy&N$N44b9LOJ$@)p|zSseZm3-JG;W zN8PV@o(oOSU%r3tnfe^KMbBT2AB?LRYbHh+dhMpb-oWyQ(2G41Ahn69hmA<@hsej{ zXt;<1ETgkQpGejxQrNmEPbw&K(uTokA^0&qz{lh1aKBDE%EBUA`UPu~sJ?l*;=k8e zkMEnlqER(5`6A!QEt5S%MwX@AGHL+-4}(@TceputFaREUei+2S)={sRWWED3Fh}?p zgZzz#0O9c5V|@S;06(`cv~tL9$zBB6;M>)Mxyz5sAAue0l^e_Lts!=?MSlUQZKA_P z)adk^5MPf_LCeSZ)ey@-bu4VjqtM6s;j>xOyNQyBA|0Mh!6cIjdZnoDx7P}yHr2^j zONAMD%}tDL!}%^z1=ng@{&O{Yqt_s|Sh>t!F)v`N0_7n+0L|EL@DeK^I!`5_@msv# z{$8VXIiN{@yJLm&57%94m?3qfRVriDD860GEEB^gT;EA-b56#obS`n6L$jJSwp?oH zz3!)Aug)SH!}^pmKt=WnmQU7Q<}WE~^1fLbE6-jav~OPBeF4#@8kxbbcOx{Zj zgov_DURxB)2ARxd8%iYa5P^mNFdG_wSXq$ocu7nJ{9Y89=NmFfj+J72N#iKN?JCbE`Cr2gsP}~%(<^BvSy&U{FWusHBvIk^OrdF0H%` z395uDYgDb-(_l=MRVZZ}rKw6kykk*pw!S#+$pW!>qI6{O0`oO4E@`s6v0e+PLDfG-@C7x!L=&tJVuapJ&}|(dDe`uxy6v_n~Fh+ zZ{n|pO#y`jEr)W0*JO6|Lp%c2E(Y~uQ?mC2EWgru307`rle%YY@lO+^3cRtjq?*kS zZ)%$84*O_ggUzBcfo zbtJJuF$qmheGC6&t`wf{D%)+SvYD#bS45(B+ z`;_`N-=V76%wWr&T&%I5&&^sI>wcak+*o>6Ygmc$D!%?0QM0SZLOS)rO^lyN^tIj( zAj60>DN*K~#2rA>Y!+7SHc-m1)3RAxn=d}lTy5}^{W=q0Z9-Qk_4{ClZw0WDx?WgA z`*qqJy3;gnl7y4Ea_z~4IDseH!1i}rJ4JWabq#NI2<;QH8pbqA@;{?TE zCVnBR#c+~Jok9*OTC59!w-19ReqECppvUg6e{mridZGQdLBUj-j!TB#ta%xm{?&HL z5abk{#a*6M<3u7|%CNmbj@hbm`(~o;kq#m^AKOaT{=W{jEja*i>+r<#+Ns6)caB}8 z)|{jCl1XFjR~=!E`Y3O$+mr?PA+7rY6q&8|-&G$Q*VPJ#f7-^XY??6~Tw|P8fM*YN zJ?ZpAjSW~9p`Of+d0*CK@K_~F?zFIH#;AtwV6C5|&a@7dGN(RS*eHZT+VKZpiYgE_WzvlLa(Y&%-A^bBR}p8p||^IgA(n9KuY+sG{K(Y zdtptTBJd&fIcnj{DWAqHbNaFvF%{}A3=pn7$Z9-ctkUnqwG%Ds?ghh0S#~+PE3}Kx zM?Uiz^FlqBzT<3$PO0yg0wnd0XANGJ;VTM z^Hm;{P z4L)xAwXE{_-Z?=AOwWjh9|jImM5LQOVw4oQm1t%u4)hsb*vC{E07<{HVp4A;3OZED zBW$=191f1v7$(mJ+HX;HW-|6Bf324cgQs|rP(gQ=0j#?8_DrBFUM2OvYfue$q8Shhnns0M+q7NS6QPrILswhTS<76gZGFzgU zB&UqC-|G;WqQ7GM)!vwWS|#;#bGC(T|7HwaWDF2pb8b(mOl^5=FBke(k+HjUYP=U{ zFK5roarm~sdrI__Un|~}#uw0vS46ay?8YiD{7fY1JRYl!0tA?IgqcH}g_7=6MXWM_ z#(%y|L`QxH54(Ydii#6r?ZeRzulx?pYQSkTferDZX+`zx9ff#^3!5yA*Cnl(HqrW$@$HM zY!t#D3v>x21RN)|>*bE7Jdo8U4z+6W7R&qdSsduefXb0e`XVSR97H6?>HyZA|T69=_lT!?SL;ep}LNJ0s*; zkoLyl&GyKQe>rMWge3Cr`-YlhW794aS+=>x6)+|A19*cSW^f?XK!Z50c=GeLU%79& zgJW}`TMD5I#I{q4(#Z~r()pc)`+Ac*@O`_ZpR{_d1+r%_2u>*6D;w8E%uZHcA$2quU3u7DMR`6r)V42+O(go!!5#8gzQ3$ec$pn^x9By)x6U3;uM{uACQM_`J z8@tnhJLm-R5p)~Zk7S3`H060=Bazkd-wU0SvY28%s&Q&8*v^||BJ-d~Tv&FQP%7s) zKtf2Nh{3FfJTP5JhS=r2P3nVdGG9Qo|F)L3S|xhrfWJpde}|g9bCP@ zH9Nn(3TVUcs)akIl)*j;@ogsor+nyIO!Lrr7U+w9`l$FJ1_xC7oNSrmw&M?xSSu)6*cLOY|4$uVJ*j*!bX z7LUN@9g5}EI|=Lcu&x%CNazREt|in>aKzo>u-_7_GHv3XiOb6iEFr{SiNph{a!{rd z`+>Z_i_q$RGSgqEB@_SRLtEyBDEN#=3zb%06h$eNMjOU6x7Swub&8UhKrlK5;9S0V zFi8F&Df%d^)P7O#&*IZ!V)z9bdEEVN9GXnr95#yptwc<1(e zES8pB&zeyy;ye<(abd#%4=2GFFvppFuAIu7T!Lg?A*NlKti_#dN`64uAzM`TipASo zLU!XI!rzgMDci*dzDM?QP04soswp7SZNV}k_!9arN?jp6oGQvsso_Cj#>XSx{R%gD zsmZQ7Z_L~aRO@i79hAw04e5Z0fHEK;V&#*W#=xZJjj>lr-Vca*$Pgpj> zlcfkCfrr_gx;L#Dux-Svo09xg=RB}WPPx+}fvpVPkHO$>Pp^F!`SUc0072JcJt zyw}z2+M9y*$~rzIY^?w=`+2QV4-f+vyykFXUV#&D{pmmuJbF5sL|se>qe;-5d`jJ0u(^cE)~FwoP2aj7$FI*_i$?TkLId zf%bem1}_rr6}1lFvB{>3X~$!(O#r{*8J}AxeVx!J2(!w0cHuf^m0M5PCVy?z`x@`k zSR|1Wz?{LZ$t8`sA zSTpnQo*3ro0_kOrhjo2|ZmQ(zL3W)dNtNjTh)KP(@YQH&ARw~8{$DZazqevzPDOzJ zf9@WJz*3$4)TC6w2v~HvbeD!Eii%wgib+x?ro5~diC}y4Qe*KB#TLUL;9+FA@_yj$ zYVIxq=XSal4W2SQBE$D(cH8x)$MyB!9FrkvwUL-er18i}?k=ZNDqauNTE~WsYuinx z0#iTXnC%&pcbjeN8{L?-P@BN3x(FcD_-US>lV;iBeCuCC_YF-Xi+r{>SI%t0dFDU+ zS(Jtuq>N-|54}gdDF;m4!_;f%*L6F#dfJdfZNi%;{_u3$O~f*>8vz$jWS^PR{pg-! z&C9@9Z3&b|bmx}yMB%i?2TY&bl~u&5OH@FiMT_0iWd}wVvy&bxL0bv22O&Tgpt805 z08f)jL#x?nH$}&m?6PvPYjEs$5+Zx*c;ty|^Mm_cC(mdBwBtq06~|ekWlcARIeqAY zW!BS55LV5{rw#XWQwxix1KZJLxhmqLm1L$>Rvf`O1hb}9#lb$Sg@besM;&T>*Q{%r z+adfRRSQ?++UhE`jk@i}y$2xCUwlMXbQq$3T@v&8(7HQ#YI1-q(E5X=7@1}?eUjrwngeNeDky>^>ld2T}#Q>1!twf zfti}J{B29Is@z@re0`l5abp!+-f(2_j;X!0?9I_s&5-}Q$V9`Cg$PB5?8f>cK4qcv zFpTtG{E|8aextkSNf+uw1+BqX_)X!j@Mpv6@qfvJU*QwU(c|+z4FXy4qr?UjQ3yvu z8hCs%y!Do3I}Z9eu7N+1wlN#U%(ik4Bg$SeY*<>Pl0L5Fu>nP1_RH}{R+H3jAvK4A zu2C=XiX~YX%B5UWwjS85A8%j(iPUW{0q_<92ne51{{8cZXd(Q0pq65VGBv$Q@J`(CasIgL z@O-KZeEKq|<2ng7O~P%-Rrj4GIPkqImraxQw!-i*L;ZHGW)X~At-iR=b8sxG&~q5R zhKClHp`Jmgl+bvA6Q_eN-wox1u?L^k3>1nn+Lg-dqO|wvcWc*4)={DzG#+tJFK=m{<8J` z`_%~vA#O#vFNPoOr&c(*`IWK|tNI``y-WlrP0XC)$Sk2~rcD>CYI>s34QbG2nBa$Z z&gS@*6|195XB{nH>Kuy?WEiME9Y2R60;s^YJIJ15)@y)Dc{cg{+*n2~o8Z2ylkx}2 z*%~RNOdg(hzw5P7recZLm9qf6%IriH5vd@1n+1AYt!2*=*^1}JjF$>0R~RH$uAhxu z`$MkTF1bZPE8~KKDYMgv6cSmegANLH{xPDC@!B)wX?E@Wk)g(j-u0;&=JJFoFMfhK zysgB35^m}WF+@aEv*kmbsu3FtrTcb}`BoENN2*a+cHo6UJ>jIl+=1egDH^II`9Lbl zhQp+{uml0IPd}zCa_0C`+^SA#S|?R?ShFm6=;ad#01*<}+XDFqL}*~Lym&?7l*W3Y zPMTWBlSn0Fuj8mbE4q3BZ4=^!aRS&s8*SaC7SDgXKP-iTO7*HL?y;Z?2xA7BJDB@( z?Z`l?BKKt8rRsb%^E(NY5=Z0r<-N+-NKyt8tr3p?gr2Dji z&hhKrD3&Q2I_-fuMit=T0K{UP%NA=*VtIWf!5;ZmM|&ykn^N(jD;MvJ5CyPFw)Pv| z1W|a;TM&%TVh)+Bo{)be8O#;QW?rnSQr~&CTG;tm8a>a+tnrzRJ11jEiz|5?w>LH; zc7f|{AKMU8k}jodtZRnpIR}AIE*Al)pR|e4u3wE+2!>9fxTK>yY+g!h$?;Cuam$r$ zRR3I?18Vt@Hz12W!5+-G;s<_}SIa;l48>XZ5xkgFBgEwqu5%y3e9?fIB>&A#XIL-KBB# z#ChCREu{<*F|9S)9{)y!T2qtEu=u*gr)Ph(h|9i4;=A!Z(_>lhv=10v<;%!+5upga z{7oS*XMf%h^~mdPPeoNC3xj%L13GgT>?D{)^3W#|HC%D7D+`7ObY+07#tV7thFCN` zn~^crr5G+B%tRKbSCFm`Qkh4JF6-8S^bv27fp%q!l*ratUk>WgP#5rXo#PsDY+ivW zh|_U%TAtw}AZsQbD*?QvPuM<6`FcKXO0O)M?^}PW^@gfU0g%6r-PYT&!1wLMU_mIA z(yfnpO^>e_c5Acb-iqF27}*Sq6<$?GVP9Ky1W5a$E4T zyA@d7@-8Wpcpzqi?9Sx-z~twB+!4WN+{tH3`xNDZ*?H2gsPC~7e*DI^pGV9SE*bkc zNI7j)n-90zZNsh}n#6X%G3%IarP=$!_jqNaN|O1bgYR)?85ij-*Sj9}qPC&~Y-;1) zI4HOEuFgrn&dDaYJQ+xpvh#&2$coJI>vM#A;w~$;F@1Wqpg4*M*eWEfapppCjaSZrzM=5k{7ENwTy?a z;ei$CcQMA008DF06N*4zYj1u1SL1{HEA}0Ylh(uKIqV`>z{`Tx@*Dzx9jWRcF08&yxb*EnwVN!cwh_VGGd| zVBF0|q{*~YgjQ99Jap95%`x*j_J}D69%zf{>|0kz9X*0t8bUS)qJROwxfOZM9lcvw z7J)S*5)c%37z7A%xPOh{t&hD%gdoIolH02B>G5xLcpXNiS@+Z(>UCN8&PRw)h zxQ&BOTtKLWoqV-!iBfw~ND z8ud(0C!KAGmjT`a;%c0n=R`vG60{Qyhy2?LK@8Z}gRhu1S>es-(fRxAvku3fE>=bh zc+$NaqCJTY@zOt;5)HjVP1` z&BpeK?Mr5B5fRPfFHaI_HWwC!CGGEH!H5FMjzU*qu^X4cxBB2QCqo9QS7LbghQu-x zPLKe;?TANf_JPe-U-Nl+dDG1LY^uokahWezKYR|F@meD2MF;b%iR6*CgoVH2$V+Yx zAdxGuGR0HIB5k8a?u}`-P;V?cU?KkSg%|Pn)(VMsk1alNsLwlc0y`#X`nm+3Xj1h zCjZu{UL(BjP1&Tt`iwHbhnKVd%+_#8nj7w?d(2s{qB8Z{M#y8YW|&nckJGFgC$VV` z^{XCe{{|r(F`C+ASWp*J!`FgYR6fy1DNj17{d}_;OVXL@MPm`YYKV>Ul!ol<0udJQ zZKm4(!`SOG5hgttQ=~`@MF%VySW>b172JEKSKt!6e&YUXmy!XWdi_Gv^d32%HaI2m zWkNx{8uGRWTM0%i8odULcRzOuhnDazSV(k$q~_pgZqGqFg0%P>+xn|0s-d-6i&+o?#e1<*DGOzInVPT zBga2j;RdhuW-=-RJlvRrA!ey&J}{J4vy93?kI;|f?_8JM*sW|DE9x*5o17c3k|m7W zjfdatPZdc^m*D|~-I1qgCX?$ZGT3)s6bYNLmBlS_hi97@ap@~}I58ou)DMioxE`U9 zw^D*~E34aIx^48p7j6zKxgiqM$rlXYnQdNRK@ICxzG$T@%DA`3zPI));!)1pzSTY^ zN?ErP^311;72Sp75>47kdK>~-$u}#2XG&zg$U=5MZA!H-Nz!=EOx(@7XpgXin->}m zZFjdoS;K@eJlm~#(BM;X}JBak!IE`G!_p`3G(aqBT-Vt0~3xj$Y6pON*P(I9*?v zt7pE}SfV7@%0;rTsC&G$Zj7xhoM<2gTheh$Iv#t5l=WInUI_{jQ zQ=EmlUvLi;p=K7>V1q`Pwa@ddWuypdB<8+c@VPL zrVDH%6tiwuD9cKJl%H}Ph-WiqCl26 zC&wkYUS&B&)e|`MGCF;}IDWY-yhO~iZ`X^8N|Ky38(G?@)Gw;(C|+FUgAe?8&sPqY zFI^1yGi#~Y{bNACr=t0~(-vrCH1Q*n6CUw}DzDdH)XZA@;eIl{a`+bW81$ai`#0ZF zWNf;3SO?a5DBW*)#~S&`%=pzL@ql`M(wf0xBrwowA{hE}=Xu0AxB^ zpOz}nK|r!5L$UrFEm`4IFiLXt2Z9UEI=& z0GvMhLTMS6q!AjkE+CTV9mtGQ}pjV*izDSj~;fBHri$>RIFyy zfF?O9BmdY*66!b}%;FQL#g3{S>@Mo3+5!J&%?g;RKkBcP)~E@*hrjy?8)0NYnB1kjuyr3Z+?mRPOk}rO7blaE>R;b3&-MfZ57Yy?{P{K z)uMWSEf+N&B^wS0Gn33Y`?94umo<-tUEMKDJIlz}Avrd}Lx-KKe)lUoqKC)e-zNk? zW7ksB;oWL_w^6Y!HqZ=y3n?;9(!CM`Gx+N%9T-0WSpFQI^OGAs?P_+yb5|WJ!jnw~ z$HiZG$TL6-vlM|5TPq12X-Q3?Z}IVR(oF{@Nc_SXX}&iAI81HEy7U;6__1&vwxx>B zYHn()NqyP35tnodh-dCA>&KuZv$cI$`%a#y0%aeX${umHh`tw3Lcro~23I7{Ab{AF zyG4iCYG~R zW};N3;q1kG?Als#%j)IXc{6pWswRyefzmP(uM-N*LhGwi)7U1ic?{t1@K{N8Mw3OMeDj(dC?>`nN-HVsEZrE7&WhCdS}>LCymsj2SmN7 z+8L>e&oSQNDLSuVbpZDd4@plOJkIY3SAbwr^)6N0VB%dMPBYL7%`l|A=N*? zLEZZnN(CmyF83cNS@QaXl1a>S{CC78SiWD@t>_jxYxO@JBWa^uZNEQew8x3H-0+fDqKWQME_ns`<%L2P!J-Kk8BnZRtV)J zfxfl9*bO2(`3C_k>>V^1Qe~3O{FgHDex(_}MlIJQv+qWKJSCSg>Y()u_bP?7IF+|( z>Q%|EL2HffGfW4MFn(rU%>-1t{P!a@i&>w!O5E+74UPL29*l*aY0}+|%iJzH!DFx0 zWQh0*qUR{l&Bz#m!48yh+ST!bl&?eIR>tY8Ew$V1xEiyWqyVm%UUbHbaG=dtU#q=k zs%2=M32RL|iH0nqtPxw4Z2*Co?4{bIdinRQ@%)6hzrGY?CW(o!li2_x6laIVhU7;% z7z^lc68aRed(2q}7q&vaV(`_S9EUz?WN1&&+^|>^idwFR%D47Nij`_$>y&P2uzvR4Ra9LM|2dTUhDPBy zB7q3sTw2+HDk&sfjYB-&r)+rJwMWh>_S+ZWH>q-qPIZ7egT9;vFYkh&(wcmlWK(A< zhr(#?h|-8{Jj$PJG<*>?M;g+|;&blr!QZ=ww>8{=7MdQ2SwdNr7zX?{+gh$O-Geib2b4{ktiYcP@`JhNcq^Rtbvf)YB# zozwVFZwZc>*6i;+xxW5p81+#};2R%(*_UO3>5OrL(L(ZijhE}fDLs%?_=cTf797TU zA1vWNuqr^0(P)6ELZBk78l~tE#Swo*jMKxXy}KnGeoi6{39N6yA?IIP!*RVP4Kxm@ zMbky!1nIU9MW$T11(82ENVTK*o#1dZlr7^9ws|MW3dL$iwT{1DxVsLLy-vJToLMQ% zERnP(dH&!)RzN0Zx_HF$LoIALTpH2m1+U*tMX@%M+zH8QHvmk*^OeZI*Nd)PNq@jf zgZg{rHN}rE#6KVmvQ(n@^2y67j{a{D1|4K`1QcjA@UaIWg3}Zq>0z#WW#NowE)#Rn z0Ya^(7&)XF19MYF1&8u^5X0bKK#8#z`Wdq>2%or4-KSiNelk>7Q8aUr%D%c1F9{MV z+TgvwMCO+3F_3!eU4lp%QK=H%XktgA`y~z*p>$UkFA$%E!~Z!pw4%a+i&z3cM+QOB zZBOB=JM+!T0rMP@HQNYmOWbsPG$UlxUM%07{6ZD%5Cvpq$vq5~%+^|q!#t$HRlj00 z^HPE#t5uq%3=s_@YvlD7LAU|rRI?wlJzUcW6@^y1b*KpYcwjL%?R1zZiq6fTycP?q zBYYaX9rt#W(5d1LG<#jgbb|hJINl~p$BZ+OW-uBA9{dnbJzDMIIw`EuS2)yXB`s}F zW&`=AuTV$ex|O|5j$w+yU2(7DcpgUj5soMDsSy3wFn=K(W|pec<~iJwlu}){Q3*Iy zp&tJAz?(b^<=)XT>Ix7|_Kp4;G*`Y+prkg!3LFG!Pwb_?r{LKfag@82f^)*7<7{R> zj761#DWv1WDWkw5Ru{Nc6_#9bJ?rA*U2Q5oX68virz;b><1v2hw8fo`F9p!lt-a+F zYlue$8pTVO<1@?t9UT_(yQnNI(amOQ!x=I-tD#%j4H;jq5h(_$Nrcd*JF(c+zlmml+AOA*?STdpM5fdVbs1os&lN{w z&DHlZ50kW_MFCIC*Hi<0bivw&DQXIDD4R0#+yd~b@F4b-@6Zh=5@f^thA~DFj>->b|&hjGgh^m z8V(fb_*qd*{QB;kI+>xuj^A2eEaK%TY~lw+MncERAdwT#w~}*;2?f8gEg%=Qz08dA z=2k)vW*MzcUHq< zeXX<0taOdDR!a5gpxSDhzOoJ$hq+994zvC3?4{e1s8{dwpRGuxS3Qqab7Yu3j5djO zt{;QG=+pB*<7w8b|F8<~mej6*N1)MFzj{rkun`&Uo%Lplwzno?`V_t0Y~7$8M!Hh& z41wvIU+1}kW?-uA&ujdtU)a5DVe3f#>h=t(uM9{5W@Wv?69T28mo9adeVfx~%2vNt z06kB!b?e7(@~H=oWg2k$FQ{G75+dnPyzSEgLfyiCm}6EnpVfd(%3Lek$SpE#Gc1^y zv@5rgoyxnHI6D*srsmvCx5-do@@i=%3t)FP@ojqR3o@GjFLFqE)!R=*IB%hFk@ls8z1{Q;@jSMrgTXv2#& zUNhYe#7$g21e!HJn9XjUz2)Y$BAXR4t~n+-_5+>4b^92UYF^Nc^3`V zRMZ{Jjw&wO{?5n@m*W-ZoS%rj!mRZ9Y8x1kpE-hUYac(fmut`id^p<4IO{`aIIkB`L}dAjOTV>BdKA(;d8LC_B)GHCR`5UoEgtf6@m#L&wT zCg}GGDw;oo|Exvl`LB2CKQBrYs0$y%6C(yRPYjh!Lm3f3i144*=YItMS(nQDFF_-^ zCqYi=^C|ql8va?2C;Tr)7~@|JL8Ekk8UI;W!Tm2r5!SzqAIDLk%la7qGDRK~qV>-p zy1>5#;hax`j8Il=0_g8itiM|R8FUo-7qgPg6SD|3W&#WS&jkN^@}NIPhyXG2f7Mfs zQvCgn3r`*uDBc(`AXnqRW)VTn$MFBs{@jkBfloAR&HtrMjgkDN{Q&{c(=k_f7FUv>_|0}@$cMAV+jS&$3y=D<+|7FC0QcmDQUrtdWHbNz)BmlHF l(2yxf0G}=NwJkApd5Q(m9f}I)2O!u(#o>&oyCtFq+!SsLtyun~TSd5}S|xpr|(O z=)GN*3{v>UVbht7-;K|{zrSXAaX;Tt2*B3^ajflN+KZ`6nXFG;$Vt#uE6fr}>g>5} z5`b*toJr1zRrxKc3>1l*;*tRpMRt3BGi6G?%}owo`w=`~g-uy45VV~riEsH;`M6eS zPl?9Mc|KND6hwt5iZ!MHwIOMzG%SLnkIlgQViO7-pJ{06f1fuC%@?xfUYmVi&3+{% zw~(+UH;8oSHxI=*tvMpDb-hOZ0j_4q(ZbF>f**xFCg%3h?4X)q@It|g^!qj%#($wy z!I6foFZyy?y(v_N)L(I^BnooFM_8-^hTiTg4un=}g-J5mSe3pTRlyem)emK|Kw4zz&?>`H)orcT$q-ey z+)LFe5ez9_CGX#0FyEX#1f4~L-f3T1_|$i2xwukRmVj{uyC6pGBpZCIa+oFPE@LLx zRxyT!>VbH*rey0$A}6EV0T|sZdG0XIH91KnB{q?UC0C6ZnynomO=d#o5 z7l(^Ja$6n@p4%=sMz~w_G2}BhlxTDvc#L>3 zQbQGFhD3rJ_}v9wEV#HIxpVgy2}h<0Ly;&NwEu}fSgVYmWLvR0uYu1F_S_{ zJ-_%b-vVmg zu~Gs5%rY(}Wyw(vTtgAfr$yIOBe0F6@S)Z&;!TpLP_sNT<{yf&+6HISOEibvwHLVL z_Z&ZjyhyBKLF?$Y`kq?xte1YGagc2->oD?~_@XO2-y&)2w5nbC3z+3&5sjcdOU~o%wXjlWc0GAP{;tv<=cnFaFX})^6#CWUqnCNm3TuTETC{ zYs%m9!6i3nfNaOqX)!7i+;raYnm z^P2^ElamaHm?DORWmGhjj@8r*&1RId7i>Irnuou(7ihU#igwlUh{!Elv7TmC`=sY& zRv1srb`qe<-ehN=4pTtvq}q6Uyev*ukc_=;y3_nl4Dkoni zpD%s{z#CpcYm5yH&OvL8XZ?*oc1QfqaO6S9la@K`Co;(wGnWfaJv$*;L-|Z{=HLq# znWf#>6YkEzuWeRj5x$z34DY#@aKAf@`ToOmt;n0LujE+dE&@Qq4a9 z7;8X(t$E|5(o7HCF%-&fjpZQw^{D%74Yp~0kV*ym9MUTd*pu1d2l<-E`;Uq9t~kp} z>mkW*24IB#D=6_-RJFubbY(Ti_@-ub&oM&z%W)u26Ptn2tmU1kTWWJZE%X89lGS~P=`!ch~ph15l}W*Ei;vYA2YYta1JMZLmM8XFWm51T8L`dDq3 zX4L?CUOx>re<&c=sY6Eg@!@)GvO?p2MK~wiJTukJ*=R{;p1n_Q04Hmbd-RXpDamC8 z{S_y{2UcrOR7)c@soqoWF%`oQ11MxS;I`ZW;w7}M+Jv}rf(&b=syj5!_rO|&r!T8w zd9LkQ?lbtH>hZ6q-A|m_E)J{4xJ)umd>Qz|e46kbywX`q)(;e3%s8 z!O7obP1lD}uF`vc700e+VhmJeVI^d~jSY)?wiRLsh zk7+ij1!oL*@xv#Uz~zR+_gK3im`KJ>6pc_L5GxNmvYH4{wP|9jL1B)%A>P%75PJnj zipyE;mBMx=M0#pV`S1=2&NP>H0A+mZ%HcOvs}<)~RN)jOC+}^sAVltLQE?~?mCN6&j{7%veZ;Z;R$+yIQ_SVxehn64jd5iQqmN#DqmEVwKUAlRPeg2y?) zNMO;2Q176?yjAv|!#SR_6m9~g&`3_-s9c+LN8xEKbvB#2pF=mmqZ8=S3U+6Uq|ZSy zXalp0XmbU*{j_i>_WG_?N;9eD9Fr`6b;c=Bi);(sOHiw@kMet1Kya5jzo#M!&@RaP zMg6xmrm=6p(#s3VGpcb6@F)Bw@b7H~o433C7tK-+=0F~0#}()v-AFwafA)hG%>eA! z3AXPF-G71XzeEO9CIh5T4WcjMP$~`kncs&9<4Q$HAsu5Ja4nxE_2&Hx3_V5 z2ECw?Mj}EeL&;>@#S?K9&x!PjfoF0DmVfp>=C_O`WlkxOUX!2jza zA}sBB139ucX?6W@QU>gaLaj+0b-KU<>yZJ*+#bnNGzTh_5-RtBdbl?t5o+&^ea+Z` zv<9~W2^x{AZG0Er%fHP`&pBdb%`hv$Dm_||B4WO=wrL5gl*W|iuL?^vbK*5G2uQo08ahm(wufXJbao}RYw9~S`$@7l1mZu!b+T*1ja(0YL>?xdF4&g}?A&((QP^;0frNCtC-e-J2LKP}tSRCy$ z@W4zyHkn@7$Gn)=Fb~F@CJzN7+L+?Kc%1;H0zxR-Yfro=0t07~m?*>iAf35qs;oIs z85Tc?!b?_3Eq)I-2W>+e)BP-`rNYQ3EYY}2w*~M({yN)mDrSDxv(n zS=)Dxze>HTML~wc#;#_i)f$}j&gZrV1qu%R+xOp`%IWSN-`UqJS6|cb<6)WtFT7#! zHi|zHCVrDL*@B~qm77S5nsJCtk$NFD0I6203-%gy`h-@>cgkjd{Tt;U59ds*h{6#}t z(dpP@xGrVIyiuBq9-+NV6kn|%s=VrDS2Y4+pvH?$VPJB>nn{Wlv2eaC%Bj9%-V&-x zs?D4i?}_L2J|l6OZ4Y0ZJX%qQ!hdF3K=A|54IC8HLd-TN#wo3Z zNFNu1)PrYUSkOXCKR>RHly!Ai7m;%n($LGXFU$hPF(+Qrg6BvGyY0lbDhzAFHX|k| zJGYLGpbd6aGarNmKpim>`9A~>PDZK*4<|MM7g~hJf+zCW+ce7=) z^6a`!8?enG7w-$pE1W&#h{s}?jh0nTDVUgIjq*-9U5*Dm1yxyhyrD8o+o1lodbqql{UNJ49A z@EoK@prCVGekdt<M&pK^0(ph!>Z4*ZU;(S|29KBn6gBl(wnqybB|DH^yk{v?kNi zs+B??)v{m-A176m&{k1vtc<1vVty#v^dEB=2%t?{tZZTDY0`wDrdd9hI zH$?Dk{7o4{Ss~vY%frmEg+psFrN*sl%s%x!q%%o*fF%D3M7Y4Vw?)}1($h^ID^hA} zGCLvx(8Urg{bt+?edUx^!g5?T@H0JB;M0VSW#ZYpW?YRwD4^t3p*dT}je@&qDOyz-(+T(TlAqV$>PlZBYmXH5y3UG*7$Fh~@5CQQ_<3#i1X zR}2~)7po&5^Wn^Ts1#4jXr2;HJagw|ta3Y>R6YKb{jz{7WinEdP_;PVZOIhaW1CG{ zvRN^rc|Zj@lf&vpvN>xiJ~x)ipyOcy945iIq8=s-lVaDHDAqWcgIQZANL9$UM5Ia8 z?bv23l-5yIN?|Cjt4)U@i)l#f@`+W{Ew^VFy;8EIZm>MKh0=2K_2`v%o02^Q+tf0d z&(7_Jf|%#{T&)z*@8^+1jTC1WA_?NOgbv1W%H7Rp@@dr_MOd*#^(A}BFu;xhUrF6k zxE-jOjk2jcBc;5$EsK@4`Jw~K(fYrcZ!`JT`;>JOny0%wD}a?!&B7w;Z;QBKFDz3h zNw~?$*Def5Gc=h7_=dacNjmFCa-k48XPc^^ZXEOF-}gu^bsEDSDhwDO`*`tm^q8<6 zCO2)x_Q}SRixy40?$P9y#dCCkj1CRH=#jBiNFf8WS&wg)r4O^7T z5LFsw1WWhkM0J5<1{KbUGdw2^+eXj+XS>Rnj6n>`;i-AHqno29mP5GuoSo#NabxMX z0ncW6G~2N2lqu+{+GQcA=xFO`&CBxXGs?~@ORCh2rrqi`uGR9bT@@!F&n!~IjAz*Q z+4_?AZAS5Ri$BNQ0DYC4baW9$|2r;AKlbSwOgbx7>BGrD;Q~5az7z8J&!($TH<6N= z6>gJXbKhWYZQ^`fQ8lC>r0Z%Qy5ox0-TW&LEK#r6ueVX3i)6`N>}^-l=k0ZGSANy^ z?djjM&O2V$wmrV}ymDKO%B`Tv*Tdhk0uG2GgwR5UNvm!E+4!wh*u~ z<*=;t`4ujNg$cT0o%t5zsv6mhwId&-OU_V}qYo4?{!WOOSQk?d_!*`rgp38e7cl=^ zY!R(c&YZ^9wRqnSl!I_=Q{_oY<+)U~_7`WNwt8y3MNXv5_duRu0Rex zL&-qs5*&$TazZxbT)%6y5UN=_u89)`kq%QXMtjpeBl#xzl#A?sW;F_fU)w$p>wL}E zWmvz_F?hYJp`{nlV&%_a7SJc%$OdUQot?rQkLr|6qL&yT%A;t$OP3_fx^2H}aH>o@ zd0(&niC=pr)WI0}TJi8ldONTGXZGT5gPP5XXPnn#e&k0q1-SuPKZ==u<~vCH=Y$59wvxHSOZa(-md*C3-7lOnaWzasVZ8@&;3T zJJ2Hdt8D|YRkoGs%7E={s)G`^>$GK*cs~{>S5x=8z5J72Y+JXX^e|%S1zh&+GZba~ zE3+-hJ^2i}@(j9jzZJ;SE)B37VY7PKBwdJ{V84N|m^aZ?K=X6B@)6^!n03D`jUS*E zt$-wu>p>eROj_!oJN)%$`N*DB%^e-jnFUcAIAjE%h+y`O$i6PfK2^v%$vtvU4~?Y9 zP1OUf84=m<JYSSI^$BJlgaJQ7kw>$QvO50^YL z(N$T9KEs4=xjZAlmnZf!#%~sGs)x>Jve^&{b!Z^^t171K zpJ)~5D}AmVa7DvrK{p?>rRe{d3!WpAzup1X!XDj?)3!K%1{iL%Su!X^wwGm8(YM@^ zuLY8m@|4Vi<4~hJttoT3ZMuaMGWh2yb`88c*4I#=Mk?;wqyY? z>QEdubJUy!C~nouyl}a!kjfU~uzA+%)JwHwXTx!0hh1@q~6akyPgl-2ny z(CMNM?_=KQ387Fv`keEdE_VF+_97I zrd~LrJnF!7ih}}N>h5J~1vu(kUi40K@9Yxjscl?v2qe~+3G_(4z~Yri1@_xjI@3O$ zdOm*8C(fj7{~qU4?NHg!ke~VFCF>tATBJSOr2=;q`_I)f7i&eX9PswY=qQo_uyq z3U`sg&7TnaWYkSPU7JDb(K`V|3@oT#BMNI9Ud#!7kp-<<=^7fWH zBCUgC%qy_b#^G(n?d|<1(f44J{-K^gjK_ktunRGAl!FN&Cl^BpqDloyHdWJ4P0nq` zdHzK|GJ~~7>%l3>{i0Q98g6Mz^|r&6>C4DFA#>zuw7qA4BgGygWst==lE#fwa;>ce|A+0u!9-q*l6sv%I|j_HC}B^+ z^aaaZD0tBpTWTg5B{GLr%(-prs+-!<{)L(C^@5jeB$u$8Rmf>stE5t&jIf`aeDpbNY$+zx1c?0sM_`8W1 z4lo|ccN5zlWIli#ro!G+e4{~~q54i4KX8VE_8POkXE7u8aEcyknUL5oqH^#J-VWJi#RA9v^t|ar;cGdlt1%4qIE}ptO=)ds5Pe8 zu2DIfAn}X^9u^0SjDkAvvWV4U@rPY9{}W3c%7i_+O=sol5be4<1x-C zUyZY#>h|V<$Hm?jXIPK-W0NA`UJ)yPF4Jr>*zgw|95aS9m`9e@i5zDf4WT10EtOg_*?s!v37+~A6& z#hqw+i$|QHwpopWR-fSnn{NGjqB6p$rbk(iQA*|VB#ps~^knC^-~SsHO?Jj}KOzAE z-IM$;SX8N~0@$)&5=7+7k`d8;OC*Pf21mAxLT)1sc2+|Yj#Q1H1{SL1C48uFXuCik z6K@ULBoOo!G>Atu=!aDX4Tt3ScMuNWu*;+24he0lwYXi)oZ48K-X_HL~yZ`+nNn zXs*MF4juvw({1p|xRnTdez;Fsp~o5hl9T@Gp%u0tJTiJy>*n#m**+-{Hg}9MIw^QF zch1#oesrB*D6i17S^bM;L>#MzM!^d8EZLL`BC;WlfIgYYl01lhoXt!RF6b_dV1*0K zNPQkI0vzO11k&xgyioSe?9d|=bH@cmSW1M#UDf=e$Digt2Rjb#1)Fx(s3c$U35l*6LBQXE4;=8P^&A~V@@Y+rfZ5t45RumM+>`E zm>1SkXG&kU-i_N)CI8S5sZt!iMzI69a#zpa0Ym-hINp9NV@J!Xkd^oIPone1+yzi7 z?IVhx99rc=4UCja+=r|(AY3g>kIvQx+`>GI?#f%3UgV|cKdd?bTs00NS1qPBX-KBE zsz{D$X}*1fzo0_mu$^Ojy(oK5p>j(SxdP{k1Q);~Q{y7{dFd^sqB6mjatjMG^+m0= z0ncnX%imcBihmUw|0G~=>2i6-nN^AEQN@1-PwEspg%2)VTn_M%q7WQDBQyRDR1Anj zODG60Y!nAWccm}Ij)o?i?`O-*O#nxV{1y!QJAzqzb+PIgR4vUCxE=Zc`#-SqVT(9L z4+IG4@jqbszj1$(r%ExZsrl=5&m6xG;FE`^@AuxLKa|IE^Ei+*?*OFbbP&rCXx-z)1D+3bn z5J)vtE|K&HzCax6dLBtMNKj_f*|as=ApSPGA)noP4%Io-Z^Du8rKbSSa|)eG6ksj!b?FP-tND^ok6YnOe+oAurWsnEo93GFvi8OI&zl)-BvbQlhoVx;Exr zSB`A3)BuZTbS;z?TJEopqdKPF(kN7`O;%T2Ad%m+n(H2E7Uv~JLLkoZO0&OQ>^zKs5rK)6FxS zTi2c{AwkEUCjHrJ4|l~GP2y^9ijGq(C5AP?1TR|I0N$~Z$I-fo zj`a}KUXxivJ72#X2XC|leN=+Kvv+R}HLhqh%UXm#h!mXLrpjwXNn)WmD=OPSvl8zS zR!t$$yWikOhCgE7Rk_JH6KCMGp(YAIaBVgzdt`G3&=N}MV~rHLYD)e_q4Sk(j@zh) z5Ryh|B13tFR4N&2Tz`-~w>ZiTt;p%Nf#wgXbJ>WMG~%s*Nk|B>m6d3^u{f`p>yngh zHkY3xPJGP(6V|+%{v;ecBSx~I-?2J4RME|`#^=0p5w~-5B!zJHp#b7J@b)vwuq*=i?YHa;Zkv`8@r;C(hCAZ6Xu5%$IgBnaxD3WSIUdNhzA zhl5@5U{hmW$AQsc{zsL{KmSb-JiLSA=Jds(yfNX&fl+hL41WT|Qi&EloXADARGbeN zL=QQF|1n3WoOcB&7S;tu3>QTkTzaJc4WDYdX}U@`A->bNHv%^YpNfEaR6XCT-=t3m z6k}*^!Rs6D7(7lc7BX_*79pFY^fV7&Qafy6u`v_C-XEquWb zec+4h*7j?ST!1Lm^?)}F-6aPV1jxwM9 zAxk-+BI_OZpzl9TfrP5HcXFu$0|HX`?_2Tz)R?41O-+CciW%nLUiKSyBP*){;Riu! zrKMs!QSgG3b>V_|c0pKVm}R?Hn=Z1Am@b_BT(r6HFqzwAtS}ujQnzXnT~2QAv)_9R zM#!0%O99uRLB1FI_Zb#qDHG!_O&mY5282~$Rr{VE&z zmlyZl`+u>+QmK>0PuyMF9wyhkFC{4~bV&qT7-Z|W2{vH9`D`6zhH5NFmYTa_yL7C~ zZ1oPQe8*@bwNECWE;W;V76;BmfjrD_t&zfK5_d|9T7&O~;=E>#$qZ~ovp_^d4-Ih zjraLJ1Oro-l@_?cPvRP51YaH9OcNs;tk!m|9xH0DFJbKgkGj@ zK}4IUIXYi2r?oi|n|k7d&%&%w<~PKMKW#c*8Xv|6>B8+=?}aE2O{`uY|FqM@o4=o-@3OkHq? zokFO~j6jjR+e<-O2q;qQLqXf8eN&7GR-CXcFnP0Fx}9&v34#g^$OfJEtW77~=mF*b zE-@uilPuCt9UBxKOU|SYJ8PMT`OL(jn?hML`$>Cb*3_;H3uPVodSD6TnO&Kn0 z7b(WGJ8X8~V#;Vf1^6Vx8b~0Wfm>brmeHbWqUcx)??icu4NZeMMzJhER91$lD^_RM zDE`$O!-4q@71o*=&&6?ysjeIfzC2D{7BNplX&`!u8aP^Jp6qSIsKxtDJy5%sOHKJZ(<%_~~ zNM#tH8lX84E(~59pYJpJS95*bmafVIpnrk{c|Zf0Bva12gEPjk+|ZJdw~%#mcIt3~ zZ5bA%-LcLZp>qA3IKsBo4GY?$+_!iSco|@}d?IXHJd_i!F3khozIQ-5q+1X2aEuV! z35D7n@u6Kb8a}JA`3mfbL(mLHu*wsDr(a=(7ar2QcUVGPx<{IFmw@&lR;7Xy9_K0F zn$k!&F2Ecxu_b~1a+?1Sk3jFq6<0ce=Lz|_*6q89{y_YXXm(Q9!xMo60SQ3=FVQ5` zw+A6sZc5ho2L|*M|0bGrxGoPSHMCpUjPT>_c!tbIPa9uZDl5GfDTvhd|D(=7g{_^w zyrPaKhG42QhlxJ ze6AbU-#T20hNke^Pbg1)KML-S7+&U++Q3s{0#dfpFnJ7P22!qIq&$Qb03T;9JC!o$l?JVAMV1v8#r*j=mf@2$1x3ksCohAoT6{t zPK{_HMguUB9z!@?<>oraD?L~^K4>Z+Vvk`Jv8OLyhwgBmy@3a33c*tQp>6XTgj2kL z%3fOt_CCp4NC=rJ<#_b6YKo4fpwlj?G+ikZK6dMlnF0CB(KhS@ECzpz>`QaC?4(3i zc9KGELQ{R_I!*Hj`_YVp#E<9#(`sb2*?RJHSOpMEG&UGJERf6M4W+Kef>mVrKybFF zSGv#CI9}QIS)cHBniV>A{q&%_AT{;)Z0E^3#H|Jv8{cjxW8oiGK*+_)k8%Fx(G<_4 zI`{Sx7bhM(u1O~##LHpLu2NYr>?J4X??7Rt=FW#~XCTN(d@K07`=mYg$vw9^=N48E z0jguUuTy><_hJdg8*$TbDShbseCRNE>g?;3#~#zVM@(kh5!;nOa4!qJRd=M5fH)Lo zDMvK};vPe5>`_@1+zRgc{102?ePd6@1J)+XZ^o@9>r%$Ep{S!aIIYRX7!eqA={e!@ z>p$zh``_06KfBEPiZDq_CU^k)9zi_eh^ZDCWkxWNZrN27pCz-H)vHLt7O_h)zx*CZc_e0m&EEc_YJZICTr0$RtBM| z6u0=Z8jp*Kh5Hlz(8JQ2IeYXWURTOu5H?x|sAh0_!NZmBs`uo5hI9bhI%x{h^jPhg zxsG0_RVb0xKNZ2X7C9&AT)*>$7XPwWn%Bq z#rCOnc;H=)!z+dgb;xnOCz?58ZUwHM3Jd#K^=%>S0TkIIFhX0M;OI8H%=#_4(Ry3u(#;6CLI9#gtTfGBEbpji5T$3 zPNHJCWU|fS^;ZY3z94t$o)~vdp&r!P2My?0KV{iyFHR_TK{R_~Bic~(GIgYn+XJL) ziGpS;r{q$>u_%Z}vu27rcqu;EAiOU$#BP)_;0fxJd z^zzH~F$H~!Uln)bFmKq6$cB{w{njJ5)&X~e9J|MEj4i$PSNEYFy|Kp#)NI{$-En|yRYMBmN@{PpJMW>JoxcN7-5A;;@I zM{G~a48;o!<1-Y=)%qKhi8t<<6Rb6&9`E1L_&kVej}Y4g_@%q8(!Q`ISloO=tj|f8T4)Hh;=lON=!>Y#xbJecIj^#b#>)DO^{KjD%a81ga8YJn`jy4#XUGb#DO+E! z;>-yI8~fO?>s;+VHQ>!f0fXz5d)Y@pGqCe37)Q|o?|?kI?0<}gXZm7(^1tby0Oo%g zjk>5jK<9t<`+h?8Tj^-hgriP~3yE*kD|AI0T7<*ptkoH*4g%iy7v-lCZ|FDdk$#dG z$kk&IMlArL0>hr>?kSp#OuWjW8FbrO0Ce80OV-2}mxjv-;!f8fl(`-ys>oyo3NQv%Ss&MA$JfF7g z*Mml&n(LSyS}Zpp zR?kyuYvUD`DwT>_o7rxOh9$Xi4j7ksWDlnV7? zx;d1Yi;olIwjz>*aDEmwgrBQSNTdzOjuO*xQ5SV66S1QD2&FNI6?Gye%6TpfI8&(6 zPVBm zcU?O1nrh!;VYs=cOM+P6H3gMkO}8_WOR{pfIvA6;v^VF!K8X=bNghm1Y+x%u7>LXq z!;Ph5UT!YuC^P~g*~el!;k8dKiO4Ht0q2I<4GMWn1-^On1-^Mp2L5TYYJ1q$rf6}P z7ahutkgkSjbs3eVubyG2m0%e>Otr1L=>r+cz zI0!xva>Qi1XkjaGgs2-)gs30DC_*#@DMB9A~5ZcH^Mgw-E=B)F!z~r;osy zsU@`@crA)Vn>&a9IB1#_;ZHYL7BWZ#U$-=47kk)6xW9dg#!(=~f#yMYuf`*+v#~B} zpR*Nug3EDZ&ldKg27LQ7ib3GJ-w}64ot`WcoUEv1n@HXnzC5Z7WBwg0>DVRaCOBZn zqG!3@UzL29ApJWq@4Q6QLgCrwfnfq5UUYSVRO;Cs5k+dtRDRB1^iB>QD{YYD zH%R*Ruw~CL$~sh>Fyr2=hmPd%hCKV z;^h7RBaQ^Hcajr0Dj-1(UWTk%h#h#qKT_nB3@c+gI0HHg-Hzr^`K`qtM>{4(UCUIs zxQ0&qOU=ec1E2p08yd3D+Ae*a+E zBP*1uR3RB*2|xG;KpRj7MUv8 zIPN58zT70350yhVhgfe8-pmw8&M-d}BxG4VL-(VH972;A52y3ii1XrGvLDKVN6{nL z!Ynsa2p?pQ1hiVoa?uFSBnTGrnKRmr>*m<^gn}lVW}-!CQZDk+!3*9i7_i1S(Y1~< zM2Qc%kVLGn0<2gb;-nmIav*JJ6y(29BQjK-vy6ceGx02K2cYM;xDqU@J5C=xS(Td{ zM;6Is)(q@f$z?@%V{XK4uO@5kh{sNT@y!_|3s|WJ|(=oJ=^e zIaWuCVsN5|xdkIHJMdv^0_a{{ef6@CbTF(;=!sBl_L^AMXue`rXY6Hy?Sh^ecq65wqLkQ3qJGn0f=@qeQv(a$Ogh4uyO@8( zgd;L!V;djUWM?-P@(UKQ3~SSd0|mRmy&o1Sv5S^6T)C5cIy>mX(nl|f6C}h>xKFf; zq;npt0ZJ|M;H%~0WyI8}3t&0YmhsW#7pm4VrRS{c*f}*zh}hJ-XpxvQn>uSa4JiRH zM&}KlO3h4&`e@-H$2-~2i9w^5ta$r=rWZuGm4dU^2G9wgGg1t4MNh|2P3h88v29I{ zYJ&r|Ilip2MIEw1i{N-0hG1gb`k5joV2%OuNx)FpfJt<9a|sZ56wJes>I3Fu`z3PQc;6rA<`R(#0GI9yIgI7-(`kEqt@ie9ri_IGOs|uvU zsIXebq|E=`piLiQ86=)I#2Ra`LM2-xh|knYnm>*Tp;G8hx=1<6`mpRbCWEwDt}>0z zO&sDFc+|W^PF_QXXkd&y60tfSk?LbatK-%gSQ~tq88e(MNX*E9{YM&=Qq~DQay1;g z)XNG-uVz6F>hj`=+fS;#ONRqYq@g{m7euu}msQ^wP<_2cKk7&4tffUhYgtf|oSi={yl=klO#pII#p_Cgs)oXv3378V+{s_P zBky7DDlsW1CvF}F`p_=#v9MF`4rSJX6xTY29i!zGg$p9hj&W0qWHcW!4yefxcNOr{DZ2|lIs;-vZ9VkF z;G-#h;e+a)R+USmeC8@Amw_)>K-lN>vlDsk&R+t|BNSwaHivcR(;Dcci7+foVSht` z7j&?IpyTL(G;n?az@6MFw3ihaE22A#}X9q0rzHJ_P}^S-_|( zw{0;%l51IMA)nmoOM|;d`Zod-cp^WUnunhoPaugb*sEN$zPEM>=h@)?$Wo_}KQTVs ztNUs>ZOx~AStN*$Rh0c(zHDzvN0M({H4J$UO0>~ISEW~c|2Kb$AW0n-0dVz`m0y=b^$n0U`J^Uosypof`&%t&qrYU2N=g1 ztGj$oSTEtR70pDU1Nj$`{gDS1$v9ap@i=d>Zs^rzd%mi4&SZ=8rR6JGp=9uv*GCfO zdVXVqKASvrA7j^a8iiLj3ecEsPUt48{wE}fg>C%{~V@cIBGcpdDr#?ohSNDNT{j#pfVPd6~cQ^rR{W&Pff3Y{IN;1qp<24TUA z^3xw;loKIDRe+th&Nnw~u+gY}mc)}3EC!xRC@)FQ{<|dpnF`^v1fc4F-*bJ!vOmY0 zY2~fu_npc1B){2VCRc}@ml2t21?zT0B=xC}AB3DZ)h>MG96Vj!X$MVeE-_SY+MZaI z-*w`0MmTEP7KD1uYIyp_pK=#XCnpBg~Tn>83`vUv(Wm=1MNcVib$|FDJq7Kiej z1pb}Oulbo`$FSOj1ZW!jP{JeXc&CfQB72`*=}0ZXkrGvjoTgo~-R|HG>dSwa{>%Lj z&S3t7m+H~w$g?R!V)=wS$AYE!+%k2X<(1S}$|c{6d+rRJc+t>Nopbt_Mur6>oZq%h z?Zk$+8xc=2^xgcec$s|y{73cYg+t8b#|dA5xOnuVr#pCV1>k8V{?OM*hQ4E4B6$ky zsy|CZNLAlQi@wvSM&0vRjc!C=$2bMHJ{;1mZPE-@mItPK7S#@H4*P`_GhJ<%KXV;G z@gh>Y5L`Ra3hk{km1gP7LWt^|a->JI?e(Z4{J5`iC4~ zL|mcEk4mIh@r2=pBOkE8V^3?x-C}CUI^Nsw{ zdgWUa%<3_;Ef$qJ$XeHAcZA9um*z3=#nm0;u>4WA7;;vs7XY1*<<=_}-hRrE$Kw$Z z<`A^X@*&b?5B7~5cpD$@PO)@vo6)Jv5m=xyG3Tm}um?M^0p1PeQa0N~nqB~;hq_}s zYz}!?-~Ne6r*QFSYO3o4SV{zeSQH^3;_92_wuOo1sSs^Pd3Jn z>H1SN+~Ui#@^bA5x#}fV!}w*9^DD7QF%ddd$h7=(2b>guhyyTmb0l^- zyO;1A>e`Tz`tt>5HpK}rAvE5zVinsW8BqFZR4n9R8r_N>Ra{aB^zQ}dlf(#iD1NG* z&Aq$4D|P$%ZMWVJoWnGd8H?Q$T>X)tT6WBs2BPs)k|(u6IrR+XorK3KL0@7pQZB&4 zrHXSLW`4Y+)&Q^`f_H$%tJ9g4!x-7zZN zF$hQs3|#`!-Q5iiCCC8MUHW?8@3Y=dzvue*+WY*@`K@cMbFOvv-mYqoc=L_EN?D)v ze&M-eeh;8rYJooM?SEaPSIH)*hbnU!>ip#`d^Aq6N5Q%lUaBjICUUN|b~ncn&886| zZ)c*HKO-wDrKk>u2?+9@#W}HSAWF-Iu%Q+CJqZqr8AYjG;yOkP`I9VoM@3Mg?by>& zcakp_8D7pAVbTUO1s5~RzvcZW)2{?|3@j2xZr{Ja0d#r2;h-&2)6A4eg%ic(B{mV( z9=tEK*|&>JkDh^hEZm7)-~Y1ME&vb3zDvii&PnHt`?#)mmb?a7*j{@X8EcP=2c?8R1FXnB)@3Po+zLRm$bVq*0uE6e-qvcUW19$!$6!|Xf zLCWTSg|=vD2v9SF&qGR|M|3e?(XY^Tj0AK@xvYj*riFM+G zL5L~!=&_@IT6&PA?&VM3yP=1KWfi#Vwk((bmc|8v6P?C9-$mR!0Xy>gH(b2bGA5{X zRX2Nw=7HirFw5P;V&JG}F+2CdlcbdtbFDE~ARsq?bf)V_$sn6=s%A*+{_)ehcU6rF^Fs(Fq)!w`@}@70u1+2t12bOLE8B z?&sA{Y?dfoWx}hUz@&f-(9~v4otA@k-%b-x&kn!NX4=}w`27LRiuk#<2S}x#l0

    @#|4IUS8KWuFr1;_r88r2H!wY-INon&XbfljD)aFk0ZY!m1N?P#VKD9wc_ z(7>xD@@ulSKoiAL_|w;PJMF<9`ngxM>hhq#1ST=O>DdRSpYF%r<;rCM8+I&3l27`P z4Q%&ig^>c;%Q!>d3V@r{6Cs80mtcW=X2p${K-Ww z<6)1t!#`|7AIfWT*vEEW+70ir7CPUiW*o-Wb)fq^x-vzEkpUqdG*+R}li0JL%F8J^w+d zlKx86bYE1~3>VE03Wv4ZZ@6VNw&Q0$ZR{MM3Zp*{2woTWdTagzZXmk5Q%5mtagUQT z59;ukw9C=Iw@(X9G7sMVwk;HD?k-OFO=tt-`^f9o`}supuP`yo>u82HN2-%7u3H=c zeNk}3jhjP{Py4);iATjk(T%wBt%+l$Rq5e~`m|KxchAXvX!}=f31ymv??vm_0XKa) z*rvHwc8OKs^(ZljM`+dOFD%MA224hCDc34-TG>^d2Ge~`pt1%sS6eOHlk7vGfJgNu z=38gfFP($AJ3>5N?$QCL(bqJWpTQcm!JD&|3E5j+eB}MglDLh$;$~r4;=_5B>Gm4WyVF4Osng(CYcC_0BoHeh=a~8fcV+@@6Hv6Q! zH=Li_lYDqYv3WMX_q|oKL10p*HbC_9*c7(9W$bJbbS(4L z8cAxcQT^@g-``lKJPA+;wJ%|92|l70Mc?zr#JM1@(g%j`!)60 z+U3>Eyci^Ru&*$ST@i7Mf=jIXTZPe{2diS+D62TATRpc;OC5vPF+tRv@Xp_Cji88h zE?9Cvk^X&CLluz6y^4|kfS74iP)DR?&KQT2Lr4d6B98IeKl zn_&DR^fU9pJ(X@yYn6CXpUhzCL~rFd7n)S_kN;=WH(YNOeq0ix=JNSb!LRL?`m z(oRcRO%B9Ib zTUKXB@)|2^PKAPJ*!(ajtUL(Y%-L6rmA7=Z-AoOc0yzS_8h|nFY*>QiZ zk;D9ofV9f>{4cQC&FR0@;G0mX^&82+P$~F9>Dx-Z9@}uXqWxK5v-#W}EYPxrUMzq*op65R5;QWyg&uz?(trkt6cW^Ze(yPku5TXdg{Fg$EzH z$H4EXba=8A@P)0XgYkl$+~s#)4(R*!x=I8hT=^yT8nb>o@1?2YB+eVHxS7_<0GW|~6q#JD?sIH_FC71q2kcg4o7J00Qq zH@ONjzStysZM{Pwk--(DX%ejP9y0gdnL)ajsg9{=$x#S zcijxP*~+d#(MGv$ony3J}y2s)xxc5YIfS~+wR zFPfx5)SWY5x%mwQ^0w`-szJThVt0bi$*zdcv+wk7GwyJ2TNKXUB|A)l3V>E#Lt%tc z*b=-BLqk;e!h6VrL&tmEp!dCX5|eARqf`Y~<%H+f6DR(aME(~VQ16hAp|$rHlKtjE zNjb7Z*FgTGZx35xA0CqNE%m;on!#>pznk7S+jB^bg%4I>XCBedc_w{*EPu`8ortA= zixGqwT4-R_^ClpqL%qoktF31ZRnQEf*75D=RWg>?HK9~=+s)^&9VGt`mbdESJ!?I2 zvKI{M>qqF7N>q8+i_leCwy*-=vHPMH+C%*Jooc!8e*0^zfSA@ed@A#xcfW$g<1{HL zei5{8NnfenfMQxFe{uvpX)o@xmN^pYMZ>RXj=YwQiq?4|?d<0;3x;p#D+q9T?2*+zr zyzQ)j7S>g#bCrkBj$OmkNlsrJnMF)Vb{{@%SX-*R%Tj*Cj8+S&c_g8o%uib`@{rwy zhCX;61WKa&T2=^?v7@Ycsrig&KIqZn$g9InJQHss!t_z-$6%_$s0V~n8+y0+!)x(w zGwTcc-pk1NOLCB}klkBiE8sU#nA_HZ%%ys#Q?Fwam0^tC!$LG$$At4CoWCH7b>o); zRkZcam387h^Zld2mSTVK;1A-*Mye!T&>>Sy*>GQbaLjAzb3&r7fzS7v5b;2}RdPUm z#Hq!NvrjV=g8GY!5k<8)$v#cfwzc1R12M!Et#$E4TZxNk;c~e9MEU31NBUVgU8A|8 z)ms7w-SqpQOnujrb`@aq{e&@dx_ZtGS8)7%b3i2-@~8xRj$^p6pjJdZBdWXVIg}jg64P z0Xyerz_gIfYL|hPx2?e;a&wpIQR{Oao?aKTaXGZfa*wbg|x zalMzAq{uD8OBXH`J=F!E5mw;@_-BfnKhoJ5KjR{VsvZCr2!Mwx`c@g_XgJpW`q;tUKmRI-~_D>IW@E)qW70V+3b*E@*@yY&n65AP~OR=q*xZ@VB)7k+Q}ArIa_c`3W{Qt|wfVj-=RCUeRPUU|@p zHu^>&b7Y3nSzg6bpu6(U5ci;osulG;VKG~6ut9qiy`8)qs7Um;Tdr8Rd^pK20E-05 zDf(%gO-l#1mpKeiuU>0Kqr@DIc7%~*<`Nm?D^O_mG-8sB841KOgBfol%r#0KG!(R{ zJMzYB<=!gn5yg`3ysI#haHv3)eZHw#t(U0lNusHPAQaSGG)drBnq!{32|VQRW#c$? zpcsy(4XNGrFwgX|+0{fCl@>^N6h=XX8StJQ!j0z*(nda=;7q&t__>sW*_BIhcrlA0 zMuRb?IbECLhS{zmbdS^R1b=qGbsmu#FzUH5r+#e6RE_hRBzP*)tXmsW6fwuqaA6um z{b>l_`&Yg3A%9tpfBl|qU9KwVV~TL0D1LE>Cv(*0(viD~det%;YGt#kSqTKn%(hsf z@kGq2Wg;+twuv|iI9>X+^WZV~$mui$g^PYaXBFHs|H`yyOUyU&?N;dulfoMaF6&z;Q9_(tQ+f?mo zTX-f6$tHfLEeer#B8>LxQTPs(nGN|hA(YdCbX|_#G54DeXz%>+S#a~DIAP!l{>cO) z@SO`TYYvk05&UsXN70AtL0Xl|NKCf*X<%j{YVJumQatmq z324vB6Mys$6rtIjkzrm~x5pJz?&2Xq5~PqX5UH@Qq7RSq%OQcxf@(UQmqOQEN|2@> zaac4J62z>2cZ{7u1RE+@B-_fTi9Vh9&=UygEkD$=5L@L$N@h+!zk22po@KTtW5`JI z>i&XgE>U-FQF;6Ut5}68>0XfC=IpRfZ-B*s$(w0T=;{i&7$vxnfL~%se)P$%9k1)g zPc+q8VEdqfO4sBZOgF2fOq% zd7jV3WKDKp!MD{P9cq$2(BXY)kC#Lf8b!$lQM4w~>=MKnslhtgLeM5l}G5Yf^Q zphyb%Jc0{~Px0|4!dhO=%oap*M5W1wmI>Go-)83=2cWWC?tCp?g ztsK5>e6&RwSLT}kuItF`AgV&cg2s0d4k8h%p^b#HbYg~aR8?`4ZG}ZRY&CxVIPM}N z6jiO>sUL>>qFLTy052?n)3&@-wI|)I8q`G7BHx%eP>~w37^@v-%2z`^YAl9cMzCfV zQN~4P{^GC<%$oarfRaqxOG^_ z`@}j166jM`w&5k(oz*+!E#v~vOFwP`%&Tl282qY5ff{AJpeI8^jRmdBI(jOyraF-< zP6FTHZ;1hV_Lr5)aR632joeVmXx4s}8dB)e)|k*FvfDPy0kj|}fq#GmPIiHtG08x! zVO)GL?HH#%rG2|9BcF^PqvubMFBk8RV*5SB3H3b4#uJ9D4`s8M^9qQy;!B@qhcGEh z=-WwTqGe+XHM^#esgvbokxj*;s&r(kvDqaHTiN)LB=Z^{b?K1tls zN|qtdzJs?#2TentPSOdMmmp&UZ>~w zt}<|sFsk+?T-&Ji3NsZuB-!?~n?NsD)?#rizI87=-LqKrF<+iHZIqeIj?!c?{kCHI zP3$u6ORG{Bryo9^H-x$rMNTUgGkfhy{%e=n-o(V4)l$(?wj=5Ms`wm#i(ahO85>0+ z+V52oUvNSFSv+E@-0ZY)g^&;P^uJj zT2B#kd`ghw7GU3!n5H!Q$ScIJz4ANSv36WY5zCgPQ}nhHYmr`f7i;u3=hGxjTDJle z%=T(#kuNmsC(UPf>WZhm{GoiZ!`}&MU&n{~JUJPMLBOXbN-jhsLDq3C*T^vr2FVmo zdIqgG%0F;b?rzHS-Kh0a)P;ng0^z15#a2WnwuE1JxCihEQt0)(ui1;hXK9%e-Oq2$ zyYOyRJhE_s?_p!J5x?z}1sX)Xe8W4+-{EHyRf#B$KzA&vQ^5Nou z5#A_l(_pfO^&Ye9lgFq5k+khh>T92{*XTlys%iMoEsEpHZ!2i?sKZgA?)|Wy(WSCv zOf1_{1@xCjTj+y!hihB%DJV?q#nRa_1URH%g|Z*_4%zt%0!AWkqp(wl;W@JMEZNWA zc(DO#T_;{q!(DG@MWbI}p-JW5wa(sQ1O|9h?7(r(bA54hI%INeP18ZM?*@!;#fRM& zsn=Ih1Qe|a-8+|fB5m}c*I3s)!5gAB)$Sh{=W28>wN=>xK(W->was z@h`Mg+rrP@;Pp+caiuM-osh(F98HSQJmrx5g<$}<+YzW#(bK>QMTZ_s^cJl(j z?@3f#0RxeaV`-gFzJAj2M$TG&NvNE;e(HD~3gHXaxL3eGKVrCv@wvll>)4+f!JZnZ z-{4^H6VZCJLAW8 zD}x_rO{z7`COut_X|P1^K%?fB?VAIix0=Xo;;osYUI?@AjDlX09RD~H;ljP1{C0vA z+)a87&DxaGdaV&R{n|fvohdda0~fjRZ{Xe*`^Ur=zoWvG0RP_xV^6OH)m1g11Ob2A zQQM~3u7=o{WApNeD_}fF%X3BHA69!60eKYw#+GrK3bq8D;7%HlC!AIqIR6@M(43=zCs>F9&vZb-&D+{d4 zg3vTK1ko3aT5P=4)q?dRMD_?X>L18AblE4!eatL3InwudqlMI3BcJ^!4AIT)o+=Qq4B%NRNU0UTZQ(FMm9eB?xPR0Rsuyqpp~`(;f{E` zbTa|}%c%^C<$=B&wB(ThZvvXpc*3g42#Ej-1^!A5e^k2n!V(p8#LU5h z;M8Tgfr&|A>^+z6Vv+^D4^d6deEfkxV&Iz8|hc6Ych9( z&zT+t4LDRf`{28moq4n}9zRU21;*@4bZ+(sxNR`J5v>o7MpS=;2mFfAFh(BTU1Ier zdR=HFJQR&bP;X{M;H}_BRqkrmvPd)AdQa`WRQ7YlCF2=B0&;C&=JNqB-@eCAeqAk` zVQO%_KLvGTmQ~hk8e^fKpp*fiH@IXZ@lzIFA)^WMS&u=k;nfcjLe#tLytH&N*{^O1 zwKo0CJUxhQZyMiThmkj%^=r=Vcuk50ln0hv98OZ}37x zyD|EIfq&Z3h5vw|pdbOify^+$ahiXDfBJ@{{sKzV{5#@0_!$g3@xSH&GysDB0%Ozt z2TTI%&;f9ORZ-D^DE}Lq{?EMsG{MOIMPyNL}&B=uK1@K zTHvpWtbG3=V#D~Ri2iNDpW;!`zrcDgeuH^o1>N80r)=EJhv& zMl?nQlb@nSOSFMa+K|9}r`XVbL12=$WU$sLHdJER&Xf$Q7L0XT2n}Hmdo|6B88-6I GNdE&%UO9RI diff --git a/README.md b/README.md index e750a01..3824c05 100644 --- a/README.md +++ b/README.md @@ -1,3 +1,5 @@ +### THIS IS A BUNGEE PLUGIN! Install in 'bungee_command/plugins' not 'bukkit_command/plugins' + # EaglerMOTD ### This plugin can add animated MOTDs to your Eaglercraft server diff --git a/src/net/lax1dude/eaglercraft/eaglermotd/MOTDConnection.java b/src/net/lax1dude/eaglercraft/eaglermotd/MOTDConnection.java index bdb35ad..561742c 100644 --- a/src/net/lax1dude/eaglercraft/eaglermotd/MOTDConnection.java +++ b/src/net/lax1dude/eaglercraft/eaglermotd/MOTDConnection.java @@ -117,7 +117,12 @@ public class MOTDConnection { currentFrame = currentMessage.random ? rand.nextInt(currentMessage.frames.size()) : 0; applyFrame(currentMessage.frames.get(currentFrame)); motd.sendToUser(); - return true; + if(currentMessage.next == null && currentMessage.interval <= 0) { + motd.close(); + return false; + }else { + return true; + } }else { this.motd.close(); return false; @@ -143,7 +148,12 @@ public class MOTDConnection { motd.sendToUser(); } } - return true; + if(currentMessage.next == null && currentMessage.interval <= 0) { + motd.close(); + return false; + }else { + return true; + } } }